Страница 1 из 1

IAS и массивы

СообщениеДобавлено: Чт ноя 01, 2007 6:00 pm
flipback
Здравствуйте.
Необходимо принять массив данных из контроллера. Можно ли это сделать стандартными средствами IAS? Так же как, например, с просто аналоговым или дискретным сигналом.
Заранее благодарен.

СообщениеДобавлено: Чт ноя 01, 2007 7:05 pm
Admin
Массив данных с контроллера :D Это круто!
Встроенных средств, по крайней мере в Интаче нет
Что касается IAS то нужно читать документацию на конкретный объект, но лично я сильно сомневаюсь в такой возможности.

Массивы есть например в IsaGraf, но только статические и элементы должны быть целыми. В принципе Интаче также позволяет делать нечто подобное.

СообщениеДобавлено: Пт ноя 02, 2007 1:16 pm
Mis_Tol
Массив в IAS можно хранить в UDA. Там при создании нужно поставить галочку, что атрибут является массивом и указать его размер. Дальше действия стандартные.

СообщениеДобавлено: Пн ноя 19, 2007 2:39 pm
Admin
Это очень ценная информация, спасибо Вам